首 页文档资料下载资料维修视频包年699元
请登录  |  免费注册
当前位置:精通维修下载 > 文档资料 > 家电技术 > 单元电路介绍 > 其它电路
数字电子技术与EDA技术相结合的探讨
来源:本站整理  作者:佚名  2009-11-24 10:17:38



    从图3中可以看出,当ENT和ENP接高电平时,第一个计数脉冲到来时计数器从000开始计数,此后每来一个计数脉冲,计数器的输出状态加1,当第五个计数脉冲(例如在170 ns时)到来时,计数器的输出为100,由于通过非门将QC信号反馈给CLRN,CLRN此时得到低电平,74160的异步清零端有效,则计数器立即被清零,计数器的输出状态为000,回到计数器的初始状态。在此工作过程中,100状态持续的时间非常短,与随后出现的000状态共同占有一个时钟周期。通过对仿真波形的分析,学生能够比较形象地理解“异步清零”的工作过程,也能够解释在实验过程中所观察到的实验现象。
2.3“开放的”数字电子技术实验室
    目前,我校数字电子技术课程的实践环节主要是由实验课、课程设计构成的。由于课时固定,学生的实际动手机会有限,而数字电子技术课程是一门实践性很强的课程,它主要是为学生今后从事电子设计打好基础。如果学生没有机会进行实际操作,就无法进行电子设计,更谈不上“设计好电路”。
    将EDA技术与数字电子技术结合起来,相当于将数字电子技术实验箱“搬移”到电脑上,学生可以根据自身的情况,依托Max+PlusⅡ软件平台,结合所学习的内容,及时地进行接近于实际电路的设计、仿真、分析,加深对理论的理解,若仿真结果不正确,学生可以在电脑上修改电路,不会造成硬件资源的浪费。当仿真结果完全正确时,学生就可以非常放心地进行实际电路的搭接、使用,这一过程可以将理论与实际真正联系起来。通过这个“开放的”数字电子技术实验室,可以激发学生的电子设计创作灵感,提高学生的创新能力和综合素质。

3 结 语
    将数字电子技术与EDA技术结合,可以使学生不拘泥于只有靠“芯片+连线”才能设计数字电路的想法,开阔了学生的设计思路。通过EDA工具对电路进行仿真,教师可以对学生难以理解的电路现象进行直观的讲解,加深学生对理论知识的掌握。同时,引入EDA技术,可以使学生有更多的机会动手设计电路,验证自己的想法,激发了学生的热情,为设计复杂的数字系统打下基础。充分发挥数字电子技术与EDA技术相辅相成的特点,对“教”与“学”都有积极作用。

上一页  [1] [2] [3]  下一页

关键词:

文章评论评论内容只代表网友观点,与本站立场无关!

   评论摘要(共 0 条,得分 0 分,平均 0 分)

推荐阅读

图文阅读

热门阅读

Copyright © 2007-2017 down.gzweix.Com. All Rights Reserved .
页面执行时间:228,687.50000 毫秒